• P-ISSN 0974-6846 E-ISSN 0974-5645

Indian Journal of Science and Technology

Article

Indian Journal of Science and Technology

Year: 2021, Volume: 14, Issue: 33, Pages: 2671-2683

Original Article

Decreasing the Power-Clock Resonant Signal Central Voltage as a Mean for Power Reduction in Integrated Power and Clock Distribution Networks

Received Date:06 October 2021, Accepted Date:23 September 2021, Published Date:11 October 2021

Abstract

Background/Objectives: Density, performance, and design complexity of integrated circuits are rapidly increasing specifically in 3-D integration where multi-plane synchronization is required. The power and clock distribution networks consume a large portion of the limited on-chip metal resources. In order to reduce the metal overhead associated with the power, global clock, and local clock distribution networks, the concept of an integrated power and clock distribution network (IPCDN) was investigated and correct functionality of combinational and sequential elements verified. This study discusses potential power savings in IPCDNs achieved by reducing the central voltage at which the signal oscillates. Methods/Statistical analysis: In this paper, an IPCDN with differential power-clock signals centered at half the supply voltage is proposed to further reduce the power consumption. The elements of the proposed scheme including the LC differential power-clock driver, clamping circuit, clock buffer, and voltage doubler have been simulated using Tanner 0.25 um CMOS technology at a frequency of 50 MHz and a supply voltage of 2.5 V. Findings: Simulation results indicate that the proposed scheme achieves 75.32% and 76.47% power reduction in the LC differential powerclock driver and clock buffer, respectively. The effects of process, voltage supply, and temperature (PVT) variations on the proposed scheme were also investigated. Discussion: The IPCDN has a large capacitance and is heavily loaded, thus reducing the central voltage of the resonant sinusoidal signal flowing in this network enables significant reduction in power consumption. Novelty/Applications: The proposed scheme enables power reductions in the LC differential power clock driver and clock buffer. The effects of process, voltage supply, and temperature (PVT) variations on all circuit elements of the proposed scheme was investigated.

Keywords: resonant clocking; power reduction; routing complexity; LC clock driver; clock buffer; clamping circuit; voltage doubler Density, performance, and design complexity of integrated circuits are rapidly increasing specifically in 3-D integration where

multi-plane synchronization is required. The power and clock distribution

networks consume a large portion of the limited on-chip metal resources. In

order to reduce the metal overhead associated with the power, global clock,

and local clock distribution networks, the concept of an integrated power and

clock distribution network (IPCDN) was investigated and correct functionality of

combinational and sequential elements verified. This study discusses potential

power savings in IPCDNs achieved by reducing the central voltage at which

the signal oscillates. Methods/Statistical analysis: In this paper, an IPCDN

with differential power-clock signals centered at half the supply voltage is

proposed to further reduce the power consumption. The elements of the

proposed scheme including the LC differential power-clock driver, clamping

circuit, clock buffer, and voltage doubler have been simulated using Tanner

0.25 um CMOS technology at a frequency of 50 MHz and a supply voltage

of 2.5 V. Findings: Simulation results indicate that the proposed scheme

achieves 75.32% and 76.47% power reduction in the LC differential powerclock

driver and clock buffer, respectively. The effects of process, voltage

supply, and temperature (PVT) variations on the proposed scheme were also

investigated. Discussion: The IPCDN has a large capacitance and is heavily

loaded, thus reducing the central voltage of the resonant sinusoidal signal

flowing in this network enables significant reduction in power consumption.

Novelty/Applications: The proposed scheme enables power reductions in

the LC differential power clock driver and clock buffer. The effects of process,

voltage supply, and temperature (PVT) variations on all circuit elements of the

proposed scheme was investigated.

Keywords: resonant clocking; power reduction; routing complexity; LC clock

driver; clock buffer; clamping circuit; voltage doubler

References

  1. Islam R, Low-Power. Low-Power Resonant Clocking Using Soft Error Robust Energy Recovery Flip-Flops. Journal of Electronic Testing. 2018;34(4):471–485. Available from: https://doi.org/10.1007/s10836-018-5737-6
  2. Vaisband I, Friedman EG, Ginosar R, Kolodny A. Low Power Clock Network Design. Journal of Low Power Electronics and Applications. 2011;1(1):219–246. Available from: https://dx.doi.org/10.3390/jlpea1010219
  3. Sathe VS, Arekapudi S, Ishii A, Ouyang C, Papaefthymiou MC, Naffziger S. Resonant-Clock Design for a Power-Efficient, High-Volume x86-64 Microprocessor. IEEE Journal of Solid-State Circuits. 2013;48(1):140–149. Available from: https://dx.doi.org/10.1109/jssc.2012.2218068
  4. Chan SC, Restle PJ, Bucelot TJ, Liberty JS, Weitzel S, Keaty JM, et al. A Resonant Global Clock Distribution for the Cell Broadband Engine Processor. IEEE Journal of Solid-State Circuits. 2009;44(1):64–72. Available from: https://dx.doi.org/10.1109/jssc.2008.2007147
  5. Talpes E, Marculescu D. Toward a multiple clock/voltage island design style for power-aware processors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2005;13(5):591–603. Available from: https://dx.doi.org/10.1109/tvlsi.2005.844305
  6. Liang J, Sheikholeslami A, Tamura H, Ogata Y, Yamaguchi H. Loop Gain Adaptation for Optimum Jitter Tolerance in Digital CDRs. IEEE Journal of Solid-State Circuits. 2018;53(9):2696–2708. Available from: https://dx.doi.org/10.1109/jssc.2018.2839038
  7. Anderson CJ, Petrovick J, Keaty JM, Warnock J, Nussbaum G, Tendier JM, et al. Physical design of a fourth-generation POWER GHz microprocessor. In: 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177). (pp. 232-233) IEEE. 2001.
  8. Pavlidis VF, Savidis I, Friedman EG. Clock Distribution Networks in 3-D Integrated Systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2011;19:2256–2266. Available from: https://dx.doi.org/10.1109/tvlsi.2010.2073724
  9. Bezzam I, Mathiazhagan C, Raja T, Krishnan S. An Energy-Recovering Reconfigurable Series Resonant Clocking Scheme for Wide Frequency Operation. IEEE Transactions on Circuits and Systems I: Regular Papers. 2015;62(7):1766–1775. Available from: https://dx.doi.org/10.1109/tcsi.2015.2423797
  10. Chan SC, Restle PJ, Bucelot TJ, Liberty JS, Weitzel S, Keaty JM, et al. A Resonant Global Clock Distribution for the Cell Broadband Engine Processor. IEEE Journal of Solid-State Circuits. 2009;44(1):64–72. Available from: https://dx.doi.org/10.1109/jssc.2008.2007147
  11. Fuketa H, Nomura M, Takamiya M, Sakurai T. Intermittent Resonant Clocking Enabling Power Reduction at Any Clock Frequency for Near/Sub-Threshold Logic Circuits. IEEE Journal of Solid-State Circuits. 2014;49(2):536–544. Available from: https://dx.doi.org/10.1109/jssc.2013.2294172
  12. Mahmoodi H, Tirumalashetty V, Cooke M, Roy K. Ultra Low-Power Clocking Scheme Using Energy Recovery and Clock Gating. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2009;17(1):33–44. Available from: https://dx.doi.org/10.1109/tvlsi.2008.2008453
  13. Rosenfeld J, Friedman EG. Design Methodology for Global Resonant ${\rm H}$-Tree Clock Distribution Networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2007;15(2):135–148. Available from: https://dx.doi.org/10.1109/tvlsi.2007.893576
  14. Sathe VS, Arekapudi S, Ishii A, Ouyang C, Papaefthymiou MC, Naffziger S. Resonant-Clock Design for a Power-Efficient, High-Volume x86-64 Microprocessor. IEEE Journal of Solid-State Circuits. 2013;48(1):140–149. Available from: https://dx.doi.org/10.1109/jssc.2012.2218068
  15. Ahn S, Kang M, Kim T. Power-aware inductor analysis in resonant clock networks. 2013 International SoC Design Conference (ISOCC). 2013;p. 5–8. doi: 10.1109/ISOCC.2013.6863954
  16. Lin PY, Fahmy HA, Islam R, Guthaus MR. LC resonant clock resource minimization using compensation capacitance. 2015 IEEE International Symposium on Circuits and Systems (ISCAS). 2015;p. 1406–1409. doi: 10.1109/ISCAS.2015.7168906
  17. Liu W, Chen G, Wang Y, Yang H. Modeling and optimization of low power resonant clock mesh. The 20th Asia and South Pacific Design Automation Conference. 2015;p. 478–483. doi: 10.1109/ASPDAC.2015.7059052
  18. Hansson M, Mesgarzadeh B, Alvandpour A. 1.56 GHz On-chip Resonant Clocking in 130nm CMOS. In: IEEE Custom Integrated Circuits Conference 2006. (pp. 241-244) IEEE. 2006. 10.1109/CICC.2006.320947
  19. Esmaeili SE, Al-Khalili AJ, Cowan GER. Dual-edge triggered sense amplifier flip-flop for resonant clock distribution networks. IET Computers & Digital Techniques. 2010;4(6):499–514. Available from: https://dx.doi.org/10.1049/iet-cdt.2010.0005
  20. Fischer T, Arekapudi S, Busta E, Dietz C, Golden M, Hilker S, et al. Design solutions for the Bulldozer 32nm SOI 2-core processor module in an 8-core CPU. In: 2011 IEEE International Solid-State Circuits Conference. (pp. 78-80) IEEE. 2011. 10.1109/ISSCC.2011.5746227
  21. Kurd N, Chowdhury M, Burton E, Thomas TP, Mozak C, Boswell B, et al. Haswell: A Family of IA 22 nm Processors. IEEE Journal of Solid-State Circuits. 2015;50(1):49–58. Available from: https://dx.doi.org/10.1109/jssc.2014.2368126
  22. Sathe VS, Arekapudi S, Ishii A, Ouyang C, Papaefthymiou MC, Naffziger S. Resonant-Clock Design for a Power-Efficient, High-Volume x86-64 Microprocessor. IEEE Journal of Solid-State Circuits. 2013;48(1):140–149. Available from: https://dx.doi.org/10.1109/jssc.2012.2218068
  23. Yoon CS, Ko HG, Kang BJ, Sull JW, Jeong DK. Deog-Kyoon Jeong, “0.78-mW/pF/GHz, 12.5-GHz Quadrature Resonant Clock with Frequency Tuning Capacitor. 35th International Technical Conference on Circuits/Systems, Computers and Communications. 2020;p. 65–68. Available from: https://ieeexplore.ieee.org/document/9183235
  24. Tida UR, Zhuo C, Liu L, Shi Y. Dynamic Frequency Scaling Aware Opportunistic Through-Silicon-Via Inductor Utilization in Resonant Clocking. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2020;39(2):281–293. Available from: https://dx.doi.org/10.1109/tcad.2018.2887053
  25. Esmaeili SE, Al-Kahlili AJ. Integrated Power and Clock Distribution Network. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2013;21(10):1941–1945. Available from: https://dx.doi.org/10.1109/tvlsi.2012.2219630
  26. Bezzam I, Mathiazhagan C, Raja T, Krishnan S. An Energy-Recovering Reconfigurable Series Resonant Clocking Scheme for Wide Frequency Operation. IEEE Transactions on Circuits and Systems I: Regular Papers. 2015;62(7):1766–1775. Available from: https://dx.doi.org/10.1109/tcsi.2015.2423797
  27. Verma N, Chandrakasan AP. A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy. IEEE Journal of Solid-State Circuits. 2008;43(1):141–149. Available from: https://dx.doi.org/10.1109/jssc.2007.908005
  28. Weste NH, Harris D, Design V. CMOS VLSI Design: A Circuits and Systems Perspective. Noida, India. Pearson Education India. 2015.

Copyright

© 2021 Esmaeili & Imdoukh. This is an open-access article distributed under the terms of the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original author and source are credited. Published By Indian Society for Education and Environment (iSee)

DON'T MISS OUT!

Subscribe now for latest articles and news.